EDA Playground

Ofrece a los ingenieros una exposición práctica inmediata a la simulación de SystemVerilog, Verilog, VHDL, C ++ / SystemC y otros HDL. El objetivo es acelerar el aprendizaje del desarrollo de diseño / banco de pruebas con un uso compartido de código más sencillo y un acceso más sencillo a las herramientas y bibliotecas de EDA.



  • Con un simple clic, ejecute su código y vea la salida de la consola en tiempo real.
  • Vea ondas para su simulación utilizando el visor de ondas basado en navegador EPWave .
  • Guarde sus fragmentos de código ("Campos de juego").
  • Comparta su código y los resultados de la simulación con un enlace web. Perfecto para discusiones en foros web o correos electrónicos. Excelente para hacer preguntas o compartir sus conocimientos.
  • Prueba algo rápidamente
    • Pruebe una función de idioma con un pequeño ejemplo.
    • Pruebe una biblioteca que esté pensando en utilizar.

Comentarios

Entradas populares de este blog

CROCODILE CLIPS

Compuertas Logicas